Question

I have Altera Quartus II 7.2 (SP 2) installed and I'm unable to include the float_pkg package. I've done some research and it seems that there are various vendors for various versions of the VHDL language, however, none seem to fit in my project. I've tried the following:

library ieee_proposed;
use ieee_proposed.float_pkg.all;

and

library ieee;
use ieee.float_pkg.all;

(I've also found some third library, but I forgot what it was, it doesn't matter since it worked out the same as those two)

When compiling, I get the error: design library "ieee_proposed" does not contain primary unit "float_pkg".

Can someone clarify what is the proper way to use floats, where to find these libraries and how to explicitly include them?

Was it helpful?

Solution

I don't believe Quartus 7.2 supports float_pkg. In fact, as of 13.0, I believe support for float_pkg still hasn't been added yet. Your only option right now may be to download a backwards-compatible approximated version of the package and compile that in yourself.

Licensed under: CC-BY-SA with attribution
Not affiliated with StackOverflow
scroll top