質問

い線約4レベルに深くないんですけたいの煩わしさを伝搬するので、上位の階層となります。が割り当てのワイヤーを使うを参照?私のなかに石えびが入ってアクセスできるワイヤによるタイピング:

cca.cpu0.cca3_cpu.nc1_cp_checkpoint 

assign cca.cpu0.cca3_cpu.nc1_cp_checkpoint = checkpoint;

動作しない

誰にも知らせしていきますか?

役に立ちましたか?

解決

して表示されないのに十分なコードも再現の問題をもっておらずの詳細についての問題でも僕らがまずすべきことであろう。

私の推測では nc1_cp_checkpoint 宣言されて reg 内部にお cca3_cpu インスタンス.できませんので割り当てる値 reg を用いた連続割りになります。利用するようにして手続き上の課題(内 initial または always ブロック)は、例えば:

initial begin
    cca.cpu0.cca3_cpu.nc1_cp_checkpoint = checkpoint;
end

でいない場合は解決問題:

  1. 続きを見る 関連する コード
  2. 具理は行われなくなりました。ご提示エラーメッセージをいいます。

更新:別の可能性の問題が生じた場合 nc1_cp_checkpoint は連続駆動 wire 内部にお cca3_cpu インスタンス.その場合、第二の assign が競合するということは、価値に行く不明x).ることができるかもしれませることを避ける課題を forcerelease.

他のヒント

あなたのタグから、あなたはVCSを使用していることを示しているように見えます。あなたが取得している:

Error-[XMRE] Cross-module reference resolution error
Cross module resolution failed, token BLAH'. 
Originating module 'foo'. "foo.v", 666: 

それはあなたのパスが正しくないことを示します。

クロスモジュールの参照が仕事をしていますが、合成可能構造にデザインを制約している場合、彼らは有効にすることはできません。 VCSのユーザーガイドの「クロスモジュールリファレンスを」ルックアップ

ライセンス: CC-BY-SA帰属
所属していません StackOverflow
scroll top