Question

I am trying to use 'Floating point and Fixed point package' as a part of my filter design in VHDL. I am using Altera Quartus II as the development environment. I downloaded the file package from the website: http://www.vhdl.org/fphdl/, now available at http://web.archive.org/web/20160305202256/http://www.vhdl.org/fphdl/

In their user guide, below is mentioned:

'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl'
should be compiled into a library called "ieee_proposed".

However, I do not exactly know how I can compile the mentioned *.vhdl files into a library using Altera Quartus II tool.

Any tip would be appreciated.

Was it helpful?

Solution

Using Altera Quartus II GUI you can add the 'fixed_float_types_c.vhdl', 'fixed_pkg_c.vhdl' and 'float_pkg_c.vhdl' files to the project through the "Project Navigator" tab called "Files". See figure below.

enter image description here

When you add the files you can specify the target library under "Properties". See figure below.

enter image description here

You can also modify the Quartus II settings (".qsf") file for the project, and add the lines:

set_global_assignment -name VHDL_FILE fixed_float_types_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE fixed_pkg_c.vhdl -library ieee_proposed
set_global_assignment -name VHDL_FILE float_pkg_c.vhdl -library ieee_proposed

However, you may want to determine if compiling into the "ieee_proposed" library is required, and otherwise you can just compile them into the "work" library with other files.

OTHER TIPS

Just compile them into work as you would any other files in your project and refer to them by use work._library_name_.

Licensed under: CC-BY-SA with attribution
Not affiliated with StackOverflow
scroll top