Pregunta

De repente me di cuenta de que no hay Altera Quartus o Xilins ISE o Modelsim en Mac OS X.

¿Qué usa la gente para simular al menos VHDL y diseños esquemáticos en Macs?

¿Fue útil?

Solución

Probar Ghdl (Enlace alternativo: AT ghdl.free.fr).

Desde el sitio:

GHDL es un simulador de código abierto para el lenguaje VHDL. GHDL le permite compilar y ejecutar su código VHDL directamente en su PC. Combinado con un visor de olas basado en GUI y un buen editor de texto VHDL, GHDL es una herramienta muy poderosa para escribir, probar y simular su código VHDL.

GHDL se basa en el muy popular GCC GCC y se ejecuta en Linux, Windows y Apple OS X. Puede descargar libremente una distribución binaria para su sistema operativo o intentar compilar GHDL en su propia máquina.

Otros consejos

yo suelo aguamaces Para la entrada de diseño. Para Verilog uso icarus y gtkwave.

No he probado GHDL, he estado ejecutando un servidor de Linux sin cabeza a través de Virtualbox y ejecutar modelos a través de SSH con reenvío X11. Eso también funciona para ISE y Quartus.

Tenga en cuenta que para el reenvío X11 en la Mac, debe activar Confied en su configuración

X11Forwarding     yes
ForwardX11Trusted yes

o en la línea de comando

ssh -Y

Déjame saber cómo te va.

Lo que la mayoría de la gente usa son los paralelos (u otra herramienta de virtualización), para que puedan usar un Modelsim en paralelos, en su Mac. Algunas personas simplemente ssh a un servidor de Linux de la empresa que ejecuta el simulador.

Otra forma interesante de hacerlo es www.plunify.com. Este es un servicio que ejecuta sus simulaciones en la nube y le envía un correo electrónico los resultados.

Finalmente, escuché que hay un simulador VHDL comercial que se ejecuta de forma nativa en Mac OS X: Directvhdl. Tiene limitaciones, pero puede ser lo suficientemente bueno para comenzar.

Licenciado bajo: CC-BY-SA con atribución
No afiliado a StackOverflow
scroll top