Domanda

improvvisamente mi resi conto che non v'è alcuna Altera Quartus o Xilins ISE o ModelSim su Mac OS X.

Quello che la gente usa per almeno VHDL simulare e disegni schematici su Mac?

È stato utile?

Soluzione

GHDL (collegamento alternativo: ghdl.free.fr ).

Dal sito:

GHDL è un simulatore open-source per il linguaggio VHDL. GHDL permette di compilare ed eseguire il codice VHDL direttamente nel vostro PC. In combinazione con un visualizzatore d'onda GUI-based e un editor di testo buono VHDL, GHDL è uno strumento molto potente per la scrittura, il test e la simulazione tua codice VHDL.

GHDL è basato sul molto popolare GCC GNU compilatore e gira su Linux, Windows e Apple OS X. Si può liberamente scaricare una distribuzione binaria per il vostro sistema operativo o tenta di compilare GHDL sulla vostra macchina.

Altri suggerimenti

Aquamacs per l'ingresso di progettazione. Per Verilog Io uso Icaro e GTKWave.

non ho provato GHDL, Sono stato in esecuzione un server linux senza testa tramite VirtualBox e funzionante ModelSim via ssh con l'inoltro X11. Che funziona anche per ISE e Quarto.

Si noti che per l'inoltro X11 su Mac, è necessario attivare fiducia nella configurazione

X11Forwarding     yes
ForwardX11Trusted yes

o sulla riga di comando

ssh -Y

Fammi sapere come si ottiene.

Quello che la maggior parte delle persone utilizzano è Parallels (o un altro strumento di virtualizzazione), in modo che essi possono utilizzare un ModelSim su Parallels, sul proprio Mac. Alcune persone semplicemente SSH ad un server Linux società che gestisce il simulatore.

Un altro modo interessante per andare è www.plunify.com . Questo è un servizio che esegue le simulazioni nella nuvola e voi messaggi di posta elettronica i risultati.

Infine, ho sentito che c'è un simulatore VHDL commerciale che gira su nativamente su Mac OS X: DirectVHDL . Essa ha dei limiti, ma potrebbe essere abbastanza buono per iniziare.

Autorizzato sotto: CC-BY-SA insieme a attribuzione
Non affiliato a StackOverflow
scroll top