Domanda

Come è una variabile raffigurato in un visualizzatore di RTL in Quartus. Io spettatore RTL aperto e non mostra alcun registro per una variabile.

Ad esempio:

variable op_code   : std_logic_vector(7 downto 0);

C'è un motivo per cui RTL spettatore non mostrerà op_code in RTL spettatore? Sto usando VHDL.

Modifica:

op_code(7 downto 0) <=instr_reg(31 downto 24);

if ( op_code = ADD or op_code = MYSUB) then <br>
    C_addr <= instr_reg(14 downto 10); <br>
end if;             

CASE op_code(7 downto 0) IS
    --some case statments
END CASE;
È stato utile?

Soluzione

È già stato ottimizzato via? se vengono utilizzati gli elementi di codice operativo per altre cose, essi possono essere stati sussunti in altra logica e non visibile nel loro diritto più.

Un altro pensiero - se non si è descritto il comportamento di un registro (che per una variabile di solito si riduce a leggerlo prima di scrivere in un processo di clock), allora verrà creato alcun registro. Sarà solo la logica combinatoria all'interno del processo, e molto probabilmente per essere combinato con altre cose. Forse si potrebbe postare il vostro intero processo (o un po 'più di esso) -. Potremmo essere in grado di aiutare più in questo modo

Autorizzato sotto: CC-BY-SA insieme a attribuzione
Non affiliato a StackOverflow
scroll top