質問

Mac OS Xには、Altera QuartusまたはXilins ISEまたはModelsimがないことに突然気付きました。

少なくともMacのVHDLおよび概略設計をシミュレートするために人々は何を使用しますか?

役に立ちましたか?

解決

試す ghdl (代替リンク:at ghdl.free.fr).

サイトから:

GHDLは、VHDL言語のオープンソースシミュレーターです。 GHDLを使用すると、PCでVHDLコードを直接コンパイルおよび実行できます。 GUIベースのWave Viewerと優れたVHDLテキストエディターと組み合わせて、GHDLはVHDLコードを作成、テスト、シミュレートするための非常に強力なツールです。

GHDLは非常に人気のあるGNUコンパイラGCCに基づいており、Linux、Windows、Apple OS Xで実行されます。OSのバイナリ配信を自由にダウンロードするか、独自のマシンにGHDLをコンパイルしようとすることができます。

他のヒント

私が使う アクアマックス デザインエントリ用。 Verilogには、Icarusとgtkwaveを使用します。

私はghdlを試していません、私はヘッドレスLinuxサーバーを経由して実行しています VirtualBox X11転送でSSHを介してModelSimを実行します。 ISEとQuartusでも機能します。

MacでのX11転送の場合、構成に信頼できるようにする必要があることに注意してください

X11Forwarding     yes
ForwardX11Trusted yes

またはコマンドライン上

ssh -Y

どうやって乗っているのか教えてください。

ほとんどの人が使用するのは、Parallels(または別の仮想化ツール)です。そのため、MACHでModelSimを使用できます。一部の人々は、シミュレーターを実行する会社Linuxサーバーにsshするだけです。

もう1つの興味深い方法です www.plunify.com. 。これは、クラウドでシミュレーションを実行し、結果をメールで送信するサービスです。

最後に、Mac OS Xでネイティブに実行される商用VHDLシミュレーターがあると聞きました。 directvhdl. 。制限がありますが、開始するのに十分な場合があります。

ライセンス: CC-BY-SA帰属
所属していません StackOverflow
scroll top