質問

私はverilogに基づくテストベンチと接続した'Cソースを使用DPIです。現在使用DPIを計画していくの全体ファームウェア.いこいの3つのもの

  • 登録読む
  • 登録書
  • 割込みハンドラ しか登録を読み込みおよび書き込みは、タスクを必要とすることから、"労働教養"の試験です。および割り込みハンドラ(Iの実施をインポートする機能から'C)

いものcadence書類は何も見つからなかったことの有用な示唆を得ることができまた登録されcadenceユーザーコミュニティにいるような質問までも承っ登録されます。

場の人を認識し、このようお願い申し上げです。

役に立ちましたか?

解決

実際にきっかけになるかもしれないという。

//--From RTL ---
export "DPI" task reg_read;

task reg_read;
   input int nAddr;
   output int nVal;

 // -- read implementation --

endtask

// -- From C code
extern void reg_read (int nAddr, int *pVal);

void test_read (void)
{
   int nRegVal;

   // Dummy checking !!
   reg_read (0x100, &nRegVal);
}

// -- Again in RTL --
import "DPI" context task test_read ();

この作品を活用していることからもncverilog.

他のヒント

涼しい---私は実際に記事を書いたことは? リンク

紙なので実際に輸出登録を読み込みおよび書き込み、ものDPIを追加TCL通訳してご利用いただけるようにTCL管理sim卡.このなかで、研究室のずから愛すべてのインターナショナルは、Tcl.

できるだけ表示される説明にしたがってaltova missionkit for enterprise software architectsには、以下の関数呼び出しからCへのSVのDPI、停止時のTCLものが登場します。

ライセンス: CC-BY-SA帰属
所属していません StackOverflow
scroll top