VHDLにフローティングポイントライブラリを含めます

StackOverflow https://stackoverflow.com/questions/2825398

  •  26-09-2019
  •  | 
  •  

質問

私はPEX_PKG.VHDを持っています、そして、このライブラリを使用して浮動小数点加算器を作成したいが、Altera Max+Plus IIは私にエラーを与えてくれます。

役に立ちましたか?

解決

私があなただったら、私はマックスプラスIIから離れています、それはv。-そのvhdlサポートは常にむらがあり、iircはライブラリを使用しています。 work 不可能でした。

Alteraのツールは今ではQuartusです。複数のライブラリを処理できると確信しています。

他のヒント

David Bishop'sをチェックする必要があります VHDLフローティングポイントライブラリ. 。これは、VHDL 2008に組み込まれているVHDLフローティングポイントライブラリを書いたのと同じ著者によるものですが、これらは古くてより一般的なVHDLツールで使用可能です。それらは完全にテストされ、合成可能です。唯一の潜在的な欠点は、機能を介して実装されているため、パイプラインまたは組み合わせのデータパスの一般的なケースのみを記述できることです。 (たとえば、より小さなマルチサイクルのデジットシリアル設計が必要な場合は、別のライブラリに頼る必要があります。)

あなたはそれを望みますか?ほとんどのフローティングポイントライブラリは合成できません。

ライセンス: CC-BY-SA帰属
所属していません StackOverflow
scroll top