문제

Is there a common conversion function to convert a integer type object to a real type in VHDL? This is for a testbench so synthesizability is a non-issue.

도움이 되었습니까?

해결책

You can convert integer to real as follows:

signal i: integer;
signal R: Real;

...

R <= Real(i);
라이센스 : CC-BY-SA ~와 함께 속성
제휴하지 않습니다 StackOverflow
scroll top