문제

Can I make ModelSim simulation to display text (rather than a numeric value) on a signal? I have a couple of state-machine states say,

localparam S_IDLE  = 2'b00; 
localparam S_START = 2'b01; 
localparam S_STOP  = 2'b10;

Is there a way to display S_IDLE for example, on a signal rather than 00? Thanks.

도움이 되었습니까?

해결책

One thing you can do that is should work across all simulators is to create a signal that holds an ascii string, and then change the radix of that signal to ascii in the simulation window:

reg [8*8-1:0] mytextsignal;
always@(state) begin 
    case(state) 
        S_IDLE : mytextsignal = "  S_IDLE";
        S_START: mytextsignal = " S_START";
        S_STOP:  mytextsignal = "  S_STOP";
        default: mytextsignal = " UNKNOWN";
     endcase
 end

It should show up as readable text in the waveform viewer.

다른 팁

In Modelsim you can add FSM using following steps:

  1. use FSM recognition and FSM coverage options (+acc, +cover) during compile,
  2. use the -fsmdebug and -coverage options on the vsim command line.

Check ModelSim User's Manual for more details. Notice that using View > FSM list you can check all FSMs detected by ModelSim and add it to a wave.

라이센스 : CC-BY-SA ~와 함께 속성
제휴하지 않습니다 StackOverflow
scroll top