Open-source field-programmable gate array (FPGA) ferramentas de desenvolvimento [fechado]

StackOverflow https://stackoverflow.com/questions/2056560

  •  20-09-2019
  •  | 
  •  

Pergunta

Eu quero pegar programação FPGA.Eu já ouvi todos os tipos de histórias de horror de ferramentas proprietárias.Há totalmente open-source cadeia de ferramentas disponíveis?

Se não, como devo aprender isso?Meu plano de fundo:familiarizado com Regime de, C++, assembly, e MIPS arquitetura.

Foi útil?

Solução

Icaro é uma implementação de verilog de código aberto. Você ainda precisará da cadeia de ferramentas do seu fornecedor FPGA para obter o código no próprio FPGA.

Outras dicas

Há provavelmente nunca vai ser uma completa ferramenta open-source de cadeia para FPGAs, a menos que nós conseguirmos desenvolver uma fonte aberta FPGA arquitetura.O FPGA empresas controlam o fluxo de bits formatos utilizados para programar as suas partes, e eles têm onerosa linguagem jurídica em seus acordos de usuário que o tornam um, ao invés de proposição perigosa para tentar desenvolver ferramentas de código aberto por engenharia reversa (ninguém quer perder sua casa).

A boa notícia, porém, é que muitas das patentes que protegem FPGA arquiteturas estão a expirar nos próximos anos.Isso pode tornar possível o desenvolvimento de uma fonte aberta FPGA arquitetura.Claro, você precisaria de alguns semicondutores empresas para obter a bordo e, na verdade, fabricá-lo...

Como tem sido apontado, não há HDL ferramentas de simulação como Icarus Verilog e GHDL (uma VHDL frontend para o gcc).Mas tudo o que você pode fazer com eles é simular o seu projeto para garantir que é funcionalmente correta.Em seguida, você precisará de algum tipo de síntese ferramenta para levar o HDL para portas e, eventualmente, para o fluxo de bits.Xilinx e Altera ter livre web edições de tais ferramentas, mas eles definitivamente não são open source.

o GEDA O Project possui algumas ferramentas EDA gratuitas que você pode querer conferir. O Ícaro acima mencionado faz parte de Geda.

Verifique também Fedora Electronic Lab. Isso é algo novo para mim, então não posso fornecer mais informações.

Eu não acho que existem cadeias de ferramentas completas de código aberto disponíveis. Você pode querer olhar para a edição da Altera Web. Um download de teste gratuito. Você pode usar o processador NIOS2 do tipo MIPS e programá-lo em C e C ++ (GNU Toolset). Há uma porta Linux também disponível.

Embora proprietário, não existe uma cadeia de ferramentas de código aberto desde o final dos anos 90 para Altera/Intel ou Xilinx. No entanto, Altera e Xilinx tiveram sua versão limitada gratuita há muito tempo, você estaria seguro para usá -los.

Se você deseja apenas aprender o idioma, uma das ferramentas acima funcionaria. Mas eu ainda usaria a cadeia de ferramentas de um fornecedor real. Como você estaria apenas escrevendo verilog ou vhdl padrão, você pode levar sua fonte para outro fornecedor, assim como C.

Parece que as outras postagens responderam diretamente à pergunta aqui. Apenas pensei em mencionar também dar uma olhada no OpenCores.com ... Este site possui código aberto HDL (Verilog e Vhdl) implementações de hardware de trabalho ...

Você ainda precisa da ferramenta do fornecedor para programar o dispositivo FPGA (como mencionado por outros), mas empresas como a Altera fornecem ferramentas gratuitas de edição da web (quartus II Web-edition), que é gratuito para download. As versões gratuitas não têm todos os sinos e assobios, mas será o suficiente para que você busque alguns dos dispositivos de alcance médio (Cyclone II, III, Stratix II) ... Fichas muito baratas às vezes (US $ 80 ou mais para o ciclone III 40K GATE FPGA).

-So, juntando tudo, vá para o site do fornecedor da FPGA, confira a Cyclone Series FPGAs e faça uma compra para o que você precisa. -Fet seu ambiente com a edição da web gratuita (Altera .. não tenho certeza sobre Xilinx) -Grab um projeto simples completo de OpenCores.com -Programa do FPGA e teste -o (simulação é uma ferramenta de software separada da versão atual do Quartus II)

Observe que pode ser necessário comprar um programador específico do fornecedor (Jtag pinos) ... Um bom lugar para conferir alguns projetos básicos pode estar no Sparkfun.com.

Feliz desenvolvimento!

Confira uma lista de placas prontas para uso em http://www.fpga-faq.com/fpga_boards.shtml.

Licenciado em: CC-BY-SA com atribuição
Não afiliado a StackOverflow
scroll top