我最近刚刚升级到Modelim 10,当我重新编译所有代码时,只有37个编译中的30个。那些不会汇编的人有一个共同的错误

No feasible entries for infix operator "&"

我只是将STD_LOGIC的包装包含在内,将位更改为STD_Logics,它神奇地解决了第一个重新编译(对我来说是罕见的景象)。我的问题是为什么新编译器(即使是新编译器)不接受Bit&nosigned(n向0)。强迫HDL编码器使用更多抽象的新标准是某种新标准吗?我看到了一个类似的问题,它解决了我的问题,但是我想知道为什么汇编突然不同。

有帮助吗?

解决方案

可能是您的新模特im使用不同的 默认设置 (例如 -2008 代替 -87)?

其他提示

对于旧版本的VHDL,您应该在file modelsim.ini(具有各种参数)中更改它:

[vcom]
; VHDL93 variable selects language version as the default. 
; Default is VHDL-2002.
; Value of 0 or 1987 for VHDL-1987.
; Value of 1 or 1993 for VHDL-1993.
; Default or value of 2 or 2002 for VHDL-2002.
; Value of 3 or 2008 for VHDL-2008
VHDL93 = ????
许可以下: CC-BY-SA归因
不隶属于 StackOverflow
scroll top