Domanda

Sono recentemente aggiornato a Modelsim 10 e quando ho ricompilato tutto il mio codice, solo 30 dei 37 compilato. Quelli che non compilerebbe avuto un errore comune

No feasible entries for infix operator "&"

Ho semplicemente incluso i pacchetti per std_logic, i bit di cambiamento a std_logics, e si risolve magicamente il problema al primo ricompilazione (una vista rara per me). La mia domanda è che cosa è il motivo per cui ha fatto il nuovo compilatore (se ancora è nuovo) non accetta po & unsigned (N downto 0). E 'una sorta di nuovo standard per costringere i programmatori di HDL di utilizzare più l'astrazione? Ho visto una domanda simile che ha risolto il mio problema, ma voglio sapere perché la compilazione è improvvisamente diverso.

È stato utile?

Soluzione

E 'possibile che il vostro nuovo ModelSim utilizza diversi impostazioni predefinite (ad esempio -2008 invece di -87)?

Altri suggerimenti

Per la serie vecchia versione di VHDL, si dovrebbe cambiare in modelsim.ini di file (che ha vari parametri):

[vcom]
; VHDL93 variable selects language version as the default. 
; Default is VHDL-2002.
; Value of 0 or 1987 for VHDL-1987.
; Value of 1 or 1993 for VHDL-1993.
; Default or value of 2 or 2002 for VHDL-2002.
; Value of 3 or 2008 for VHDL-2008
VHDL93 = ????
Autorizzato sotto: CC-BY-SA insieme a attribuzione
Non affiliato a StackOverflow
scroll top