Frage

Wer weiß, gutes Umfeld Programm VHDL und simuliert (keine Rolle Xilinx oder Altera) mit Linux?

War es hilfreich?

Lösung

Sie sitzen fest entweder mit Lieferanten-Tools, die fleckige sind bestenfalls auf Linux (obwohl meine Erfahrung mit Alteras Dienstprogramme etwas besser sind als bei ISE).

Wenn jedoch alles, was Sie tun möchten, ist Ihre Testbed läuft, nicht wirklich synthesize alles, ghdl von Nutzen sein wird.

Andere Tipps

erwähnt Wie Arpan (fast) jeder VHDL-Simulator von Linux unterstützt wird, aber sie sind in der Regel sehr teuer. Ihre beste Chance wäre eine der folgenden Aktionen zu verwenden:

  • Altera DS Web Edition (Linux-Unterstützung wurde soeben aufgenommen), die mit einer freien Version von ModelSim kommt.
  • Symphony EDA Sonata 3.1 ist als kostenlose Version mit eingeschränktem Support.
  • Xilinx ISE, die mit Xilinxs eigenen Simulator kommt. Isim

Wenn Sie es vorziehen, Open-Source-Tools, Blick in http://www.cliftonlabs.com/ vhdl / savant.html - vhdl nicht zu viele Optionen zur Zeit in der FOSS Speicherplatz vorhanden ist. Wenn Sie sich für Anbieter Tools entscheiden, würden alle Anbieter tun Linux-Version ihrer Simulatoren. Modelsim ist ein persönlicher Favorit aufgrund der einfach zu Debug-Funktionen, dass es Sport.

Für eine Programmierumgebung, verwende ich VIM in der Regel mit VHDL-Syntax Checker eingeschaltet. Sigasi hat offenbar eine kommerzielle IDE mit fancy stuff wie automatischer Code-Vervollständigung, on the fly Syntax usw. überprüfen

Lassen Sie uns wissen, wenn Sie weitere Fragen haben.

Arpan

Nur ein Update auf diese hinzuzufügen: Xilinx Vivado hat nun mit Linux-Unterstützung für ubuntu / debian und (ich glaube) redHat / centOS veröffentlicht.

Die Software ist kostenlos für eine Reihe der kleineren Geräte und mehr gemeinsame IP-Cores und beinhaltet einen meist anständige Simulator, der in die Werkzeuge integriert und ist ebenfalls kostenlos.

Es hat eine ziemlich steile Lernkurve, da die meisten dieser Tools zu tun, aber so weit wie Software in dieser Branche geht, sie haben wirklich einen guten Job mit ihm getan.

Für VHDL-Codierung, Emacs mit VHDL-Modus ist ein Muss. Sie können erweiterte Ausgabe-Funktionen verwenden, um die Struktur von Design anzeigen, verwalten Architekturen und Konfigurationen. Sie können Setup für mehrere Simulatoren.

Ich verwende die ModelSim von Altera Quartus Web Edition. Hüten Sie sich vor, wenn Sie ein 64-Bit-Linux verwenden, ist diese Version von ModelSim ist 32 Bit nur.

Es gibt jetzt Qucs ( http://qucs.sourceforge.net/ ) als auch. Es ist Open Source und basiert auf freeHDL.

Es kann verwendet werden, zu entwerfen und zu simulieren Schaltungen eine grafische Schnittstelle. Und es kann auch VHDL simulieren. Obwohl für diese müssen Sie auch die Schaltungskomponenten entwerfen auf dem grafischen Bereich.

Dieses Video zeigt es deutlich: https://www.youtube.com/watch?v=-RrQlzLsf18

Ich bin der Linux-ppa auf Debian Jessie verwenden und es funktioniert gut.

GHDL ist ein schöner Simulator für VHDL, und arbeitet auch mit einigen Bibliotheken von Drittanbietern (zB Xilinx UNISIMS).

Wenn Sie es mit gtkwave kombinieren, sollten Sie einen voll funktions VHDL-Simulator und Wellenform-Viewer haben.

GHDL unterstützt auch .GHW Wellenformen zu schreiben, die für kleine Simulationen sind fantastisch, denn es ist nicht (oft) alle Signale auf Ihrem Design.

Ich habe sich mit Standard-RTL-Simulation verwendet, und auch für die Post-Synthese-Simulation mit Xilinx UNISIMs.

Beide sollten in Ihrer Linux-Distribution-Repository zur Verfügung. Wenn nicht, Sie können sie holen aus:

GHDL: http://ghdl.free.fr/

gtkwave: http://gtkwave.sourceforge.net/

Lizenziert unter: CC-BY-SA mit Zuschreibung
Nicht verbunden mit StackOverflow
scroll top