Question

Tout le monde sait bon environnement pour VHDL du programme et simulent (ne pas d'importance Xilinx ou Altera) en utilisant Linux?

Était-ce utile?

La solution

Vous êtes coincé avec soit des outils de vendeurs, qui sont au mieux lacunaire sur Linux (bien que mon expérience avec les services publics Alteras sont un peu mieux que ISE).

Cependant, si tout ce que vous voulez faire est de lancer votre banc d'essai, ne fait rien Synthétiser ghdl sera utile.

Autres conseils

Comme mentionné par Arpan (presque) tous les simulateurs de VHDL est supporté par Linux, mais ils sont généralement très coûteux. Votre meilleur coup serait d'utiliser une des options suivantes:

  • Altera DS édition Web (support Linux vient d'être ajouté) qui est livré avec une version gratuite de ModelSim.
  • Symphony EDA Sonata 3.1 est disponible en version gratuite avec un soutien limité.
  • Xilinx ISE qui est livré avec Xilinxs propre simulateur. ISIM

Si vous préférez utiliser des outils open source, regard sur http://www.cliftonlabs.com/ VHDL / savant.html - VHDL n'a pas trop d'options dans l'espace des logiciels libres actuellement. Si vous optez pour des outils de fournisseurs, tous les fournisseurs feraient la version Linux de leurs simulateurs. ModelSim est un favori personnel en raison des fonctionnalités faciles à déboguer Sports.

Pour un environnement de codage, j'utilise habituellement VIM avec contrôleurs de syntaxe VHDL sous tension. Sigasi a apparemment un IDE commercial avec des trucs de fantaisie comme la complétion de code automatique, à la volée vérification de syntaxe, etc.

Faites-nous savoir si vous avez d'autres questions.

Arpan

Il suffit d'ajouter une mise à jour ceci: Xilinx Vivado a été libérés avec l'aide de Linux pour ubuntu / debian et (je crois) RedHat / CentOS.

Le logiciel est gratuit pour une gamme des appareils plus petits et noyaux IP plus communs et comprend un simulateur essentiellement décent qui est intégré dans les outils et est également gratuit.

Il a une courbe d'apprentissage assez raide de, comme la plupart de ces outils, mais pour autant que le logiciel dans cette industrie va, ils ont vraiment fait un bon travail avec lui.

Pour le codage VHDL, Emacs avec VHDL-mode est un must. Vous pouvez utiliser les fonctions d'édition avancées, voir la structure des modèles, de gérer les architectures et configurations. Vous pouvez configurer pour plusieurs simulateurs.

J'utilise le ModelSim de l'édition web de Quartus d'Altera. Attention si vous utilisez un 64 bits Linux, cette version de ModelSim est seulement 32 bits.

Il est maintenant qucs ( http://qucs.sourceforge.net/ ) ainsi. Il est open source et basé sur freehdl.

Il peut être utilisé pour concevoir et circuits simulent l'aide d'une interface graphique. Et il peut également simuler VHDL. Bien que pour cela, vous devez également concevoir les composants du circuit sur la zone graphique.

Cette vidéo montre clairement: https://www.youtube.com/watch?v=-RrQlzLsf18

J'utilise les ppa linux sur Debian Jessie et il fonctionne très bien.

ghdl est un simulateur de bien pour VHDL, et fonctionne même avec des bibliothèques tierces (par exemple, Xilinx UNISIMS).

Si vous le combinez avec gtkwave, vous devriez avoir un simulateur VHDL de travail complète et la visionneuse forme d'onde.

ghdl prend également en charge l'écriture à des formes d'ondes .GHW, qui sont fantastiques pour les petites simulations, car il ne comprend (souvent) tous les signaux de votre conception.

Je les ai utilisé avec simulation standard de RTL, et aussi pour la simulation post-synthèse avec Xilinx UNISIMs.

doit être à la fois dans votre référentiel Linux distro. Sinon, vous pouvez les chercher à:

ghdl: http://ghdl.free.fr/

gtkwave: http://gtkwave.sourceforge.net/

Licencié sous: CC-BY-SA avec attribution
Non affilié à StackOverflow
scroll top