Pergunta

Alguém conhece um bom ambiente para programar o VHDL e simulá -lo (não importa Xilinx ou Altera) usando o Linux?

Foi útil?

Solução

Você está preso às ferramentas de fornecedores, que são irregulares na melhor das hipóteses no Linux (embora minha experiência com os utilitários de alteras seja um pouco melhor do que com o ISE).

No entanto, se tudo o que você deseja fazer é executar o seu teste, na verdade não sintetize nada, o GHDL será útil.

Outras dicas

Como mencionado por Arpan (quase), todo simulador VHDL é suportado pelo Linux, mas eles geralmente são muito caros. Sua melhor foto seria usar um dos seguintes:

  • A Altera DS Web Edition (o suporte ao Linux acaba de ser adicionado), que vem com uma versão gratuita do Modelsim.
  • A Symphony Eda Sonata 3.1 está disponível como uma versão gratuita com suporte limitado.
  • Xilinx ISE, que vem com o simulador Xilinxs Own: ISIM.

Se você preferir ferramentas de código aberto, olhe para http://www.cliftonlabs.com/vhdl/savant.html - Atualmente, o VHDL não possui muitas opções no espaço Foss. Se você estiver optando por ferramentas de fornecedores, todos os fornecedores fariam a versão Linux de seus simuladores. O Modelsim é um favorito pessoal devido aos recursos fáceis de dividir que ostenta.

Para um ambiente de codificação, geralmente uso o VIM com verificadores de sintaxe VHDL ligados. Aparentemente, Sigasi tem um IDE comercial com coisas sofisticadas como conclusão automática de código, na sintaxe da mosca, etc.

Deixe-nos saber se você tiver outras perguntas.

Arpan

Apenas para adicionar uma atualização a isso: Xilinx Vivado já foi lançado com suporte ao Linux para o Ubuntu/Debian e (acredito) Redhat/CentOS.

O software é gratuito para uma variedade de dispositivos menores e núcleos de IP mais comuns e inclui um simulador principalmente decente que é integrado às ferramentas e também é gratuito.

Ele tem uma curva de aprendizado bastante íngreme, assim como a maioria dessas ferramentas, mas no que diz respeito ao software nesse setor, eles realmente fizeram um bom trabalho com ele.

Para codificar o VHDL, o EMACS com o modo VHDL é um obrigatório. Você pode usar funções de edição avançada, visualizar a estrutura dos projetos, gerenciar arquiteturas e configurações. Você pode configurar para vários simuladores.

Eu uso o Modelsim do Quartus Web Edition de Altera. Cuidado se você usar um Linux de 64 bits, esta versão do Modelsim é apenas de 32 bits.

Agora há qucs (http://qucs.sourceforge.net/) também. É de código aberto e baseado no freehdl.

Pode ser usado para projetar e simular circuitos usando uma interface gráfica. E também pode simular VHDL. Embora para isso você também deva projetar os componentes do circuito na área gráfica.

Este vídeo mostra claramente:https://www.youtube.com/watch?v=-rrqlzlsf18

Estou usando o PPA Linux no Debian Jessie e funciona bem.

O GHDL é um bom simulador para VHDL e até trabalha com algumas bibliotecas de terceiros (por exemplo, Xilinx Unisims).

Se você combiná -lo com o GTKWave, deve ter um simulador VHDL completo e visualizador de forma de onda.

O GHDL também suporta escrever para formas de onda .ghw, que são fantásticas para pequenas simulações, porque inclui (geralmente) todos os sinais do seu design.

Eu os usei com simulação RTL padrão e também para simulação pós-síntese com o Xilinx Unisims.

Ambos devem estar disponíveis no seu repositório de distro Linux. Caso contrário, você pode buscá -los de:

Ghdl: http://ghdl.free.fr/

Gtkwave: http://gtkwave.sourceforge.net/

Licenciado em: CC-BY-SA com atribuição
Não afiliado a StackOverflow
scroll top