Domanda

Qualcuno sa buon ambiente per il programma VHDL e simulare (non importa Xilinx o Altera) usare Linux?

È stato utile?

Soluzione

Sei bloccato sia con strumenti di fornitori, che sono migliore delle ipotesi su Linux (anche se la mia esperienza con i programmi di utilità Alteras sono un po 'meglio che con ISE).

Tuttavia, se tutto quello che volete fare è eseguire il vostro banco di prova, in realtà non sintetizzare nulla, ghdl sarà utile.

Altri suggerimenti

Come menzionato da Arpan (quasi) ogni simulatore VHDL è supportato da Linux, ma sono di solito molto costoso. Il tuo colpo migliore sarebbe quella di utilizzare uno dei seguenti:

  • edizione Altera DS Web (è appena stato aggiunto il supporto Linux), che viene fornito con una versione gratuita di ModelSim.
  • Symphony EDA Sonata 3.1 è disponibile come versione gratuita con supporto limitato.
  • Xilinx ISE che viene fornito con Xilinxs proprio simulatore:. Isim

Se si preferisce strumenti open source, sguardo http://www.cliftonlabs.com/ VHDL / savant.html - VHDL non ha troppe opzioni nello spazio FOSS attualmente. Se si opta per strumenti vendor, tutti i fornitori farebbero versione Linux di loro simulatori. ModelSim è uno dei miei preferiti a causa dei facili da eseguire il debug le funzionalità che di sport.

Per un ambiente di codifica, io di solito uso VIM con pedine di sintassi VHDL acceso. Sigasi ha apparentemente un IDE commerciale con roba di fantasia, come il completamento automatico del codice, al volo sintassi controllare ecc

Fateci sapere se avete ulteriori domande.

Arpan

Giusto per aggiungere un aggiornamento a questo: Xilinx Vivado ha ora è stato rilasciato con il supporto Linux per Ubuntu / Debian e (credo) RedHat / CentOS.

Il software è gratuito per una gamma di dispositivi più piccoli e core più comuni IP e include un simulatore per lo più decente che è integrato negli strumenti ed è anche gratuito.

E 'una curva di apprendimento ripida abbastanza, come fanno la maggior parte di questi strumenti, ma per quanto riguarda il software in questo settore va, hanno fatto veramente un buon lavoro con esso.

Per la codifica VHDL, Emacs con VHDL-mode è un must. È possibile utilizzare le funzioni di Advanced Edition, visualizzare la struttura di disegni, gestire architetture e configurazioni. È possibile impostare per più simulatori.

Io uso il ModelSim dall'edizione web Quarto di Altera. Attenzione Se si utilizza un 64 bit di Linux, questa versione di ModelSim è solo 32 bit.

V'è ora QUCS ( http://qucs.sourceforge.net/ ) pure. E 'open source e basata su FreeHDL.

Può essere usato per progettare e circuiti simulazioni mediante un'interfaccia grafica. E può anche simulare VHDL. Anche se per questo è necessario anche progettare i componenti del circuito sull'area grafica.

Questo video mostra chiaramente: https://www.youtube.com/watch?v=-RrQlzLsf18

Sto usando le ppa Linux su Debian Jessie e funziona benissimo.

GHDL è un bel simulatore per VHDL, e funziona anche con alcune librerie di terze parti (ad esempio, Xilinx UNISIMS).

Se si combinano con GTKWave, si dovrebbe avere un simulatore VHDL di lavoro completo e visualizzatore di forme d'onda.

GHDL supporta anche la scrittura di forme d'onda .GHW, che sono fantastici per le piccole simulazioni, perche 'non comprende (spesso) tutti i segnali sul vostro disegno.

li ho usato con la simulazione RTL standard e anche per la simulazione post-sintesi con Xilinx UNISIMs.

Entrambi dovrebbero essere disponibili nel repository distro Linux. In caso contrario, si può scaricare da:

GHDL: http://ghdl.free.fr/

GTKWave: http://gtkwave.sourceforge.net/

Autorizzato sotto: CC-BY-SA insieme a attribuzione
Non affiliato a StackOverflow
scroll top